~funderscore blog cgit wiki get in touch
aboutsummaryrefslogtreecommitdiff
path: root/gxl
diff options
context:
space:
mode:
authorFerass El Hafidi <funderscore@postmarketos.org>2024-06-23 12:50:10 +0200
committerFerass El Hafidi <funderscore@postmarketos.org>2024-06-23 12:50:10 +0200
commit75ead5a58602123acb92acd2b1277dcc2020f22b (patch)
tree6c2768c5b90d82a8f2dd067f5bc73d60a530fa89 /gxl
parentd13aacf083d84a2b1d84a1603ef15acbc1a5937c (diff)
downloadreversing-gxbb-bl2-master.tar.gz
gxl: fixup bl2_entrypointHEADmaster
Signed-off-by: Ferass El Hafidi <funderscore@postmarketos.org>
Diffstat (limited to 'gxl')
-rw-r--r--gxl/bl2.c95
-rw-r--r--gxl/bl2.txt786
2 files changed, 329 insertions, 552 deletions
diff --git a/gxl/bl2.c b/gxl/bl2.c
index 1b05264..6ee567d 100644
--- a/gxl/bl2.c
+++ b/gxl/bl2.c
@@ -450,6 +450,20 @@ undefined * FUN_d9001170(void)
+void FUN_d9001194(void)
+
+{
+ DAT_d900a580 = &DAT_d9000000;
+ DAT_d900a588 = 0x14000;
+ DAT_d900a590 = &DAT_d900c000;
+ DAT_d900a598 = 0x8000;
+ DAT_d900a5a0 = 0xe939e2e8cf8effd;
+ DAT_d900a5a8 = 0;
+ return;
+}
+
+
+
undefined8 storage_init(void)
{
@@ -477,6 +491,31 @@ void FUN_d90011e4(void)
+/* WARNING: Globals starting with '_' overlap smaller symbols at the same address */
+
+void FUN_d90011f4(void)
+
+{
+ uint uVar1;
+
+ _DAT_d9013dfc = _DAT_d904050c;
+ if (_DAT_da8345a8 == 0x1111111) {
+ if ((_DAT_d904050c == 0x52800022) || (uVar1 = 0xb0000, _DAT_d904050c == -0x6bfffb0f)) {
+ uVar1 = 0xa0000;
+ }
+ }
+ else {
+ uVar1 = 0xc0000;
+ if (_DAT_da8345a8 != 0x1111311) {
+ uVar1 = 0xd0000;
+ }
+ }
+ _DAT_d9013df8 = _DAT_c1107d4c << 0x18 | (_DAT_d9013c0c >> 0x18) << 8 | uVar1;
+ return;
+}
+
+
+
void FUN_d90012a8(undefined8 param_1,long param_2)
{
@@ -2364,7 +2403,7 @@ void ddr_pre_init(int is_lpddr2)
}
i = i + 1;
} while (i != 7);
- if (ddrt_p == (ddr_timing_t *)0x0) {
+ if (ddrt_p == NULL) {
serial_puts(s_DDR_Timing_err____d9009602);
reset_system();
}
@@ -3010,16 +3049,14 @@ void FUN_d900648c(void)
_DAT_da838450 = 0xffffffff;
_DAT_da838454 = 0x55555555;
_DAT_da838458 = 0x55555555;
- if ((PTR_d900a788 != (ddr_timing_t *)0x0) ||
- (pdVar1 = PTR_d900a790, PTR_d900a790 != (ddr_timing_t *)0x0)) {
+ if ((PTR_d900a788 != NULL) || (pdVar1 = PTR_d900a790, PTR_d900a790 != NULL)) {
_DAT_da838404 =
((int)PTR_d900a788 + (int)PTR_d900a790) - 1U & 0xffff0000 |
(uint)((ulong)PTR_d900a788 >> 0x10) & 0xffff;
_DAT_da83841c = _DAT_da83841c | 1;
pdVar1 = (ddr_timing_t *)0x1;
}
- if (((PTR_d900a798 == (ddr_timing_t *)0x0) && (PTR_d900a7a0 == (ddr_timing_t *)0x0)) &&
- ((int)pdVar1 == 0)) {
+ if (((PTR_d900a798 == NULL) && (PTR_d900a7a0 == NULL)) && ((int)pdVar1 == 0)) {
return;
}
do {
@@ -4147,7 +4184,7 @@ int bl2_load_images(void)
FUN_d9007e74(0x1400000);
FUN_d900648c();
puVar4 = FUN_d9001068();
- ep_info = (ep_info *)0x0;
+ ep_info = NULL;
iVar3 = 0;
for (name = (astruct *)&LAB_d900a470; *(int *)&name[-1].field_0xc != 0; name = name + 1) {
if (*(int *)&name[-1].field_0x8 != 0) {
@@ -4234,7 +4271,7 @@ LAB_d9008384:
if (iVar2 == 0) goto LAB_d90084d4;
puVar10 = puVar10 + 0x10e;
} while (puVar10 != (uint *)0x14037f0);
- puVar10 = (uint *)0x0;
+ puVar10 = NULL;
goto LAB_d90084d4;
}
puVar10 = (uint *)0xd9000b70;
@@ -4329,7 +4366,7 @@ int aml_data_check(long param_1,ulong param_2,int param_3)
if (iVar2 == 0) goto LAB_d90084d4;
puVar3 = puVar3 + 0x10e;
} while (puVar3 != (uint *)0x14037f0);
- puVar3 = (uint *)0x0;
+ puVar3 = NULL;
goto LAB_d90084d4;
}
puVar3 = (uint *)0xd9000b70;
@@ -4424,7 +4461,7 @@ int aml_data_check(long param_1,ulong param_2,int param_3)
if (iVar2 == 0) goto LAB_d90084d4;
puVar3 = puVar3 + 0x10e;
} while (puVar3 != (uint *)0x14037f0);
- puVar3 = (uint *)0x0;
+ puVar3 = NULL;
goto LAB_d90084d4;
}
puVar3 = (uint *)0xd9000b70;
@@ -4822,7 +4859,7 @@ void FUN_d9008eb0(void)
{
uint uVar1;
- uVar1 = readl((undefined4 *)0xd9013df8);
+ uVar1 = readl((undefined4 *)&DAT_d9013df8);
DAT_d900a7d8 = uVar1 >> 0x18;
DAT_d900a7dc = uVar1 >> 0x10 & 0xff;
return;
@@ -4981,7 +5018,7 @@ void serial_put_dec(ulong param_1)
void serial_print(char *prefix,ulong number,int hex_or_dec,char *suffix)
{
- if (prefix != (char *)0x0) {
+ if (prefix != NULL) {
serial_puts(prefix);
}
if (hex_or_dec == 0) {
@@ -4990,7 +5027,7 @@ void serial_print(char *prefix,ulong number,int hex_or_dec,char *suffix)
else {
serial_put_dec(number & 0xffffffff);
}
- if (suffix != (char *)0x0) {
+ if (suffix != NULL) {
serial_puts(suffix);
return;
}
@@ -5007,6 +5044,22 @@ undefined4 readl(undefined4 *param_1)
+undefined8 FUN_d9009128(void)
+
+{
+ return DAT_d9009138;
+}
+
+
+
+bool platform_is_primary_cpu(short param_1)
+
+{
+ return param_1 == 0;
+}
+
+
+
void FUN_d9009150(void)
{
@@ -5080,6 +5133,24 @@ void smc(ulong *cmd,ulong ep_info,ulong unk1,ulong unk2,ulong unk3,ulong unk4,ul
+void FUN_d90091c4(undefined8 *param_1,long param_2)
+
+{
+ undefined8 *puVar1;
+
+ puVar1 = (undefined8 *)((long)param_1 + param_2);
+ for (; 0xf < (long)puVar1 - (long)param_1; param_1 = param_1 + 2) {
+ *param_1 = 0;
+ param_1[1] = 0;
+ }
+ for (; param_1 != puVar1; param_1 = (undefined8 *)((long)param_1 + 1)) {
+ *(undefined *)param_1 = 0;
+ }
+ return;
+}
+
+
+
undefined8 FUN_d90091f0(void)
{
diff --git a/gxl/bl2.txt b/gxl/bl2.txt
index ddf7562..5816536 100644
--- a/gxl/bl2.txt
+++ b/gxl/bl2.txt
@@ -1,107 +1,34 @@
-ram:d9001000 02 ?? 02h
-ram:d9001001 00 ?? 00h
-ram:d9001002 00 ?? 00h
-ram:d9001003 14 ?? 14h
+ram:d9001000 02000014 b bl2_entrypoint
ram:d9001004 10 ?? 10h
ram:d9001005 a3 ?? A3h
ram:d9001006 00 ?? 00h
ram:d9001007 d9 ?? D9h
-ram:d9001008 f4 ?? F4h
-ram:d9001009 03 ?? 03h
-ram:d900100a 00 ?? 00h
-ram:d900100b aa ?? AAh
-ram:d900100c f5 ?? F5h
-ram:d900100d 03 ?? 03h
-ram:d900100e 01 ?? 01h
-ram:d900100f aa ?? AAh
-ram:d9001010 a0 ?? A0h
-ram:d9001011 00 ?? 00h
-ram:d9001012 38 ?? 38h 8
-ram:d9001013 d5 ?? D5h
-ram:d9001014 4b ?? 4Bh K
-ram:d9001015 20 ?? 20h
-ram:d9001016 00 ?? 00h
-ram:d9001017 94 ?? 94h
-ram:d9001018 c0 ?? C0h
-ram:d9001019 01 ?? 01h
-ram:d900101a 00 ?? 00h
-ram:d900101b b4 ?? B4h
-ram:d900101c 00 ?? 00h
-ram:d900101d 10 ?? 10h
-ram:d900101e 38 ?? 38h 8
-ram:d900101f d5 ?? D5h
-ram:d9001020 00 ?? 00h
-ram:d9001021 00 ?? 00h
-ram:d9001022 74 ?? 74h t
-ram:d9001023 b2 ?? B2h
-ram:d9001024 00 ?? 00h
-ram:d9001025 10 ?? 10h
-ram:d9001026 18 ?? 18h
-ram:d9001027 d5 ?? D5h
-ram:d9001028 df ?? DFh
-ram:d9001029 3f ?? 3Fh ?
-ram:d900102a 03 ?? 03h
-ram:d900102b d5 ?? D5h
-ram:d900102c 60 ?? 60h `
-ram:d900102d 01 ?? 01h
-ram:d900102e 00 ?? 00h
-ram:d900102f 58 ?? 58h X
-ram:d9001030 81 ?? 81h
-ram:d9001031 01 ?? 01h
-ram:d9001032 00 ?? 00h
-ram:d9001033 58 ?? 58h X
-ram:d9001034 64 ?? 64h d
-ram:d9001035 20 ?? 20h
-ram:d9001036 00 ?? 00h
-ram:d9001037 94 ?? 94h
-ram:d9001038 a0 ?? A0h
-ram:d9001039 00 ?? 00h
-ram:d900103a 38 ?? 38h 8
-ram:d900103b d5 ?? D5h
-ram:d900103c 3b ?? 3Bh ;
-ram:d900103d 20 ?? 20h
-ram:d900103e 00 ?? 00h
-ram:d900103f 94 ?? 94h
-ram:d9001040 e0 ?? E0h
-ram:d9001041 03 ?? 03h
-ram:d9001042 15 ?? 15h
-ram:d9001043 aa ?? AAh
-ram:d9001044 54 ?? 54h T
-ram:d9001045 00 ?? 00h
-ram:d9001046 00 ?? 00h
-ram:d9001047 94 ?? 94h
-ram:d9001048 6b ?? 6Bh k
-ram:d9001049 00 ?? 00h
-ram:d900104a 00 ?? 00h
-ram:d900104b 94 ?? 94h
-ram:d900104c bd ?? BDh
-ram:d900104d 1d ?? 1Dh
-ram:d900104e 00 ?? 00h
-ram:d900104f 94 ?? 94h
-ram:d9001050 00 ?? 00h
-ram:d9001051 00 ?? 00h
-ram:d9001052 00 ?? 00h
-ram:d9001053 14 ?? 14h
-ram:d9001054 00 ?? 00h
-ram:d9001055 00 ?? 00h
-ram:d9001056 00 ?? 00h
-ram:d9001057 00 ?? 00h
-ram:d9001058 c0 ?? C0h ;? -> d900a5c0
-ram:d9001059 a5 ?? A5h
-ram:d900105a 00 ?? 00h
-ram:d900105b d9 ?? D9h
-ram:d900105c 00 ?? 00h
-ram:d900105d 00 ?? 00h
-ram:d900105e 00 ?? 00h
-ram:d900105f 00 ?? 00h
-ram:d9001060 70 ?? 70h p
-ram:d9001061 02 ?? 02h
-ram:d9001062 00 ?? 00h
-ram:d9001063 00 ?? 00h
-ram:d9001064 00 ?? 00h
-ram:d9001065 00 ?? 00h
-ram:d9001066 00 ?? 00h
-ram:d9001067 00 ?? 00h
+ bl2_entrypoint: ;XREF[1,0]: d9001000
+ram:d9001008 f40300aa mov x20,x0
+ram:d900100c f50301aa mov x21,x1
+ram:d9001010 a00038d5 mrs x0,mpidr_el1
+ram:d9001014 4b200094 bl platform_is_primary_cpu ;bool platform_is_primary_cpu(short p...
+ram:d9001018 c00100b4 cbz x0,_panic
+ram:d900101c 001038d5 mrs x0,sctlr_el1
+ram:d9001020 000074b2 orr x0,x0,#0x1000
+ram:d9001024 001018d5 msr sctlr_el1,x0
+ram:d9001028 df3f03d5 isb
+ram:d900102c 60010058 ldr x0=>DAT_d900a5c0,PTR_DAT_d9001058 ;= d900a5c0
+ram:d9001030 81010058 ldr x1,DAT_d9001060 ;= 0000000000000270h
+ram:d9001034 64200094 bl FUN_d90091c4 ;undefined FUN_d90091c4(undefined8 * ...
+ram:d9001038 a00038d5 mrs x0,mpidr_el1
+ram:d900103c 3b200094 bl FUN_d9009128 ;undefined8 FUN_d9009128(void)
+ram:d9001040 e00315aa mov x0,x21
+ram:d9001044 54000094 bl FUN_d9001194 ;undefined FUN_d9001194(void)
+ram:d9001048 6b000094 bl FUN_d90011f4 ;undefined FUN_d90011f4(void)
+ram:d900104c bd1d0094 bl bl2_main ;undefined bl2_main(void)
+ _panic: ;XREF[2,0]: d9001018,d9001050
+ram:d9001050 00000014 b _panic
+ram:d9001054 00000000 udf 0x0
+ PTR_DAT_d9001058: ;XREF[1,0]: d900102c
+ram:d9001058 c0a500d90... addr DAT_d900a5c0
+ DAT_d9001060: ;XREF[1,0]: d9001030
+ram:d9001060 700200000... undefined8 0000000000000270h
;************************************************************************************************
;* FUNCTION *
;************************************************************************************************
@@ -189,82 +116,30 @@ ram:d9001184 c1e3e1f2 movk x1,#0xf1e, LSL #48
ram:d9001188 01c400f9 str x1,[x0, #0x188]=>DAT_d900a748
ram:d900118c 00a00591 add x0=>DAT_d900a728,x0,#0x168
ram:d9001190 c0035fd6 ret
-ram:d9001194 40 ?? 40h @
-ram:d9001195 00 ?? 00h
-ram:d9001196 00 ?? 00h
-ram:d9001197 b0 ?? B0h
-ram:d9001198 00 ?? 00h
-ram:d9001199 00 ?? 00h
-ram:d900119a 16 ?? 16h
-ram:d900119b 91 ?? 91h
-ram:d900119c 01 ?? 01h
-ram:d900119d 20 ?? 20h
-ram:d900119e bb ?? BBh
-ram:d900119f d2 ?? D2h
-ram:d90011a0 01 ?? 01h
-ram:d90011a1 00 ?? 00h
-ram:d90011a2 00 ?? 00h
-ram:d90011a3 f9 ?? F9h
-ram:d90011a4 01 ?? 01h
-ram:d90011a5 00 ?? 00h
-ram:d90011a6 88 ?? 88h
-ram:d90011a7 d2 ?? D2h
-ram:d90011a8 21 ?? 21h !
-ram:d90011a9 00 ?? 00h
-ram:d90011aa a0 ?? A0h
-ram:d90011ab f2 ?? F2h
-ram:d90011ac 01 ?? 01h
-ram:d90011ad 04 ?? 04h
-ram:d90011ae 00 ?? 00h
-ram:d90011af f9 ?? F9h
-ram:d90011b0 01 ?? 01h
-ram:d90011b1 00 ?? 00h
-ram:d90011b2 98 ?? 98h
-ram:d90011b3 d2 ?? D2h
-ram:d90011b4 01 ?? 01h
-ram:d90011b5 20 ?? 20h
-ram:d90011b6 bb ?? BBh
-ram:d90011b7 f2 ?? F2h
-ram:d90011b8 01 ?? 01h
-ram:d90011b9 08 ?? 08h
-ram:d90011ba 00 ?? 00h
-ram:d90011bb f9 ?? F9h
-ram:d90011bc 01 ?? 01h
-ram:d90011bd 00 ?? 00h
-ram:d90011be 90 ?? 90h
-ram:d90011bf d2 ?? D2h
-ram:d90011c0 01 ?? 01h
-ram:d90011c1 0c ?? 0Ch
-ram:d90011c2 00 ?? 00h
-ram:d90011c3 f9 ?? F9h
-ram:d90011c4 a1 ?? A1h
-ram:d90011c5 ff ?? FFh
-ram:d90011c6 9d ?? 9Dh
-ram:d90011c7 d2 ?? D2h
-ram:d90011c8 01 ?? 01h
-ram:d90011c9 9f ?? 9Fh
-ram:d90011ca b1 ?? B1h
-ram:d90011cb f2 ?? F2h
-ram:d90011cc c1 ?? C1h
-ram:d90011cd c5 ?? C5h
-ram:d90011ce d3 ?? D3h
-ram:d90011cf f2 ?? F2h
-ram:d90011d0 61 ?? 61h a
-ram:d90011d1 d2 ?? D2h
-ram:d90011d2 e1 ?? E1h
-ram:d90011d3 f2 ?? F2h
-ram:d90011d4 01 ?? 01h
-ram:d90011d5 10 ?? 10h
-ram:d90011d6 00 ?? 00h
-ram:d90011d7 f9 ?? F9h
-ram:d90011d8 1f ?? 1Fh
-ram:d90011d9 14 ?? 14h
-ram:d90011da 00 ?? 00h
-ram:d90011db f9 ?? F9h
-ram:d90011dc c0 ?? C0h
-ram:d90011dd 03 ?? 03h
-ram:d90011de 5f ?? 5Fh _
-ram:d90011df d6 ?? D6h
+ ;************************************************************************************************
+ ;* FUNCTION *
+ ;************************************************************************************************
+ ;undefined FUN_d9001194(void)
+ ;XREF[1,0]: d9001044
+ram:d9001194 400000b0 adrp x0,ddrs
+ram:d9001198 00001691 add x0=>DAT_d900a580,x0,#0x580
+ram:d900119c 0120bbd2 mov x1,#0xd9000000
+ram:d90011a0 010000f9 str x1=>DAT_d9000000,[x0]=>DAT_d900a580
+ram:d90011a4 010088d2 mov x1,#0x4000
+ram:d90011a8 2100a0f2 movk x1,#0x1, LSL #16
+ram:d90011ac 010400f9 str x1,[x0, #0x8]=>DAT_d900a588
+ram:d90011b0 010098d2 mov x1,#0xc000
+ram:d90011b4 0120bbf2 movk x1,#0xd900, LSL #16
+ram:d90011b8 010800f9 str x1=>DAT_d900c000,[x0, #0x10]=>DAT_d90...
+ram:d90011bc 010090d2 mov x1,#0x8000
+ram:d90011c0 010c00f9 str x1,[x0, #0x18]=>DAT_d900a598
+ram:d90011c4 a1ff9dd2 mov x1,#0xeffd
+ram:d90011c8 019fb1f2 movk x1,#0x8cf8, LSL #16
+ram:d90011cc c1c5d3f2 movk x1,#0x9e2e, LSL #32
+ram:d90011d0 61d2e1f2 movk x1,#0xe93, LSL #48
+ram:d90011d4 011000f9 str x1,[x0, #0x20]=>DAT_d900a5a0
+ram:d90011d8 1f1400f9 str xzr,[x0, #0x28]=>DAT_d900a5a8
+ram:d90011dc c0035fd6 ret
;************************************************************************************************
;* FUNCTION *
;************************************************************************************************
@@ -280,186 +155,59 @@ ram:d90011e4 400000b0 adrp x0,ddrs
ram:d90011e8 00001791 add x0=>DAT_d900a5c0,x0,#0x5c0
ram:d90011ec 013880d2 mov x1,#0x1c0
ram:d90011f0 da1f0014 b FUN_d9009158 ;undefined FUN_d9009158(ulong param_1...
-ram:d90011f4 80 ?? 80h
-ram:d90011f5 a1 ?? A1h
-ram:d90011f6 80 ?? 80h
-ram:d90011f7 d2 ?? D2h
-ram:d90011f8 80 ?? 80h
-ram:d90011f9 20 ?? 20h
-ram:d90011fa bb ?? BBh
-ram:d90011fb f2 ?? F2h
-ram:d90011fc 01 ?? 01h
-ram:d90011fd 00 ?? 00h
-ram:d90011fe 40 ?? 40h @
-ram:d90011ff b9 ?? B9h
-ram:d9001200 80 ?? 80h
-ram:d9001201 bf ?? BFh
-ram:d9001202 87 ?? 87h
-ram:d9001203 d2 ?? D2h
-ram:d9001204 20 ?? 20h
-ram:d9001205 20 ?? 20h
-ram:d9001206 bb ?? BBh
-ram:d9001207 f2 ?? F2h
-ram:d9001208 01 ?? 01h
-ram:d9001209 00 ?? 00h
-ram:d900120a 00 ?? 00h
-ram:d900120b b9 ?? B9h
-ram:d900120c 02 ?? 02h
-ram:d900120d b5 ?? B5h
-ram:d900120e 88 ?? 88h
-ram:d900120f d2 ?? D2h
-ram:d9001210 80 ?? 80h
-ram:d9001211 a9 ?? A9h
-ram:d9001212 8f ?? 8Fh
-ram:d9001213 d2 ?? D2h
-ram:d9001214 83 ?? 83h
-ram:d9001215 81 ?? 81h
-ram:d9001216 87 ?? 87h
-ram:d9001217 d2 ?? D2h
-ram:d9001218 23 ?? 23h #
-ram:d9001219 20 ?? 20h
-ram:d900121a bb ?? BBh
-ram:d900121b f2 ?? F2h
-ram:d900121c 00 ?? 00h
-ram:d900121d 22 ?? 22h "
-ram:d900121e b8 ?? B8h
-ram:d900121f f2 ?? F2h
-ram:d9001220 62 ?? 62h b
-ram:d9001221 50 ?? 50h P
-ram:d9001222 bb ?? BBh
-ram:d9001223 f2 ?? F2h
-ram:d9001224 04 ?? 04h
-ram:d9001225 00 ?? 00h
-ram:d9001226 40 ?? 40h @
-ram:d9001227 b9 ?? B9h
-ram:d9001228 42 ?? 42h B
-ram:d9001229 00 ?? 00h
-ram:d900122a 40 ?? 40h @
-ram:d900122b b9 ?? B9h
-ram:d900122c 65 ?? 65h e
-ram:d900122d 00 ?? 00h
-ram:d900122e 40 ?? 40h @
-ram:d900122f b9 ?? B9h
-ram:d9001230 23 ?? 23h #
-ram:d9001231 22 ?? 22h "
-ram:d9001232 82 ?? 82h
-ram:d9001233 52 ?? 52h R
-ram:d9001234 23 ?? 23h #
-ram:d9001235 22 ?? 22h "
-ram:d9001236 a0 ?? A0h
-ram:d9001237 72 ?? 72h r
-ram:d9001238 5f ?? 5Fh _
-ram:d9001239 00 ?? 00h
-ram:d900123a 03 ?? 03h
-ram:d900123b 6b ?? 6Bh k
-ram:d900123c 84 ?? 84h
-ram:d900123d 1c ?? 1Ch
-ram:d900123e 00 ?? 00h
-ram:d900123f 12 ?? 12h
-ram:d9001240 00 ?? 00h
-ram:d9001241 01 ?? 01h
-ram:d9001242 00 ?? 00h
-ram:d9001243 54 ?? 54h T
-ram:d9001244 21 ?? 21h !
-ram:d9001245 62 ?? 62h b
-ram:d9001246 82 ?? 82h
-ram:d9001247 52 ?? 52h R
-ram:d9001248 21 ?? 21h !
-ram:d9001249 22 ?? 22h "
-ram:d900124a a0 ?? A0h
-ram:d900124b 72 ?? 72h r
-ram:d900124c 5f ?? 5Fh _
-ram:d900124d 00 ?? 00h
-ram:d900124e 01 ?? 01h
-ram:d900124f 6b ?? 6Bh k
-ram:d9001250 a0 ?? A0h
-ram:d9001251 01 ?? 01h
-ram:d9001252 a0 ?? A0h
-ram:d9001253 52 ?? 52h R
-ram:d9001254 81 ?? 81h
-ram:d9001255 01 ?? 01h
-ram:d9001256 a0 ?? A0h
-ram:d9001257 52 ?? 52h R
-ram:d9001258 20 ?? 20h
-ram:d9001259 00 ?? 00h
-ram:d900125a 80 ?? 80h
-ram:d900125b 1a ?? 1Ah
-ram:d900125c 0b ?? 0Bh
-ram:d900125d 00 ?? 00h
-ram:d900125e 00 ?? 00h
-ram:d900125f 14 ?? 14h
-ram:d9001260 42 ?? 42h B
-ram:d9001261 04 ?? 04h
-ram:d9001262 80 ?? 80h
-ram:d9001263 52 ?? 52h R
-ram:d9001264 02 ?? 02h
-ram:d9001265 50 ?? 50h P
-ram:d9001266 aa ?? AAh
-ram:d9001267 72 ?? 72h r
-ram:d9001268 3f ?? 3Fh ?
-ram:d9001269 00 ?? 00h
-ram:d900126a 02 ?? 02h
-ram:d900126b 6b ?? 6Bh k
-ram:d900126c c0 ?? C0h
-ram:d900126d 00 ?? 00h
-ram:d900126e 00 ?? 00h
-ram:d900126f 54 ?? 54h T
-ram:d9001270 22 ?? 22h "
-ram:d9001271 9e ?? 9Eh
-ram:d9001272 80 ?? 80h
-ram:d9001273 52 ?? 52h R
-ram:d9001274 02 ?? 02h
-ram:d9001275 80 ?? 80h
-ram:d9001276 b2 ?? B2h
-ram:d9001277 72 ?? 72h r
-ram:d9001278 3f ?? 3Fh ?
-ram:d9001279 00 ?? 00h
-ram:d900127a 02 ?? 02h
-ram:d900127b 6b ?? 6Bh k
-ram:d900127c 60 ?? 60h `
-ram:d900127d 01 ?? 01h
-ram:d900127e a0 ?? A0h
-ram:d900127f 52 ?? 52h R
-ram:d9001280 41 ?? 41h A
-ram:d9001281 00 ?? 00h
-ram:d9001282 00 ?? 00h
-ram:d9001283 54 ?? 54h T
-ram:d9001284 40 ?? 40h @
-ram:d9001285 01 ?? 01h
-ram:d9001286 a0 ?? A0h
-ram:d9001287 52 ?? 52h R
-ram:d9001288 a2 ?? A2h
-ram:d9001289 7c ?? 7Ch |
-ram:d900128a 18 ?? 18h
-ram:d900128b 53 ?? 53h S
-ram:d900128c 81 ?? 81h
-ram:d900128d 1c ?? 1Ch
-ram:d900128e 08 ?? 08h
-ram:d900128f 53 ?? 53h S
-ram:d9001290 21 ?? 21h !
-ram:d9001291 20 ?? 20h
-ram:d9001292 02 ?? 02h
-ram:d9001293 2a ?? 2Ah *
-ram:d9001294 20 ?? 20h
-ram:d9001295 00 ?? 00h
-ram:d9001296 00 ?? 00h
-ram:d9001297 2a ?? 2Ah *
-ram:d9001298 01 ?? 01h
-ram:d9001299 bf ?? BFh
-ram:d900129a 87 ?? 87h
-ram:d900129b d2 ?? D2h
-ram:d900129c 21 ?? 21h !
-ram:d900129d 20 ?? 20h
-ram:d900129e bb ?? BBh
-ram:d900129f f2 ?? F2h
-ram:d90012a0 20 ?? 20h
-ram:d90012a1 00 ?? 00h
-ram:d90012a2 00 ?? 00h
-ram:d90012a3 b9 ?? B9h
-ram:d90012a4 c0 ?? C0h
-ram:d90012a5 03 ?? 03h
-ram:d90012a6 5f ?? 5Fh _
-ram:d90012a7 d6 ?? D6h
+ ;************************************************************************************************
+ ;* FUNCTION *
+ ;************************************************************************************************
+ ;undefined FUN_d90011f4(void)
+ ;XREF[1,0]: d9001048
+ram:d90011f4 80a180d2 mov x0,#0x50c
+ram:d90011f8 8020bbf2 movk x0,#0xd904, LSL #16
+ram:d90011fc 010040b9 ldr w1,[x0]=>DAT_d904050c
+ram:d9001200 80bf87d2 mov x0,#0x3dfc
+ram:d9001204 2020bbf2 movk x0,#0xd901, LSL #16
+ram:d9001208 010000b9 str w1,[x0]=>DAT_d9013dfc
+ram:d900120c 02b588d2 mov x2,#0x45a8
+ram:d9001210 80a98fd2 mov x0,#0x7d4c
+ram:d9001214 838187d2 mov x3,#0x3c0c
+ram:d9001218 2320bbf2 movk x3,#0xd901, LSL #16
+ram:d900121c 0022b8f2 movk x0,#0xc110, LSL #16
+ram:d9001220 6250bbf2 movk x2,#0xda83, LSL #16
+ram:d9001224 040040b9 ldr w4,[x0]=>DAT_c1107d4c
+ram:d9001228 420040b9 ldr w2,[x2]=>DAT_da8345a8
+ram:d900122c 650040b9 ldr w5,[x3]=>DAT_d9013c0c
+ram:d9001230 23228252 mov w3,#0x1111
+ram:d9001234 2322a072 movk w3,#0x111, LSL #16
+ram:d9001238 5f00036b cmp w2,w3
+ram:d900123c 841c0012 and w4,w4,#0xff
+ram:d9001240 00010054 b.eq LAB_d9001260
+ram:d9001244 21628252 mov w1,#0x1311
+ram:d9001248 2122a072 movk w1,#0x111, LSL #16
+ram:d900124c 5f00016b cmp w2,w1
+ram:d9001250 a001a052 mov w0,#0xd0000
+ram:d9001254 8101a052 mov w1,#0xc0000
+ram:d9001258 2000801a csel w0,w1,w0,eq
+ram:d900125c 0b000014 b LAB_d9001288
+ LAB_d9001260: ;XREF[1,0]: d9001240
+ram:d9001260 42048052 mov w2,#0x22
+ram:d9001264 0250aa72 movk w2,#0x5280, LSL #16
+ram:d9001268 3f00026b cmp w1,w2
+ram:d900126c c0000054 b.eq LAB_d9001284
+ram:d9001270 229e8052 mov w2,#0x4f1
+ram:d9001274 0280b272 movk w2,#0x9400, LSL #16
+ram:d9001278 3f00026b cmp w1,w2
+ram:d900127c 6001a052 mov w0,#0xb0000
+ram:d9001280 41000054 b.ne LAB_d9001288
+ LAB_d9001284: ;XREF[1,0]: d900126c
+ram:d9001284 4001a052 mov w0,#0xa0000
+ LAB_d9001288: ;XREF[2,0]: d900125c,d9001280
+ram:d9001288 a27c1853 lsr w2,w5,#0x18
+ram:d900128c 811c0853 lsl w1,w4,#0x18
+ram:d9001290 2120022a orr w1,w1,w2, LSL #0x8
+ram:d9001294 2000002a orr w0,w1,w0
+ram:d9001298 01bf87d2 mov x1,#0x3df8
+ram:d900129c 2120bbf2 movk x1,#0xd901, LSL #16
+ram:d90012a0 200000b9 str w0,[x1]=>DAT_d9013df8
+ram:d90012a4 c0035fd6 ret
;************************************************************************************************
;* FUNCTION *
;************************************************************************************************
@@ -9294,6 +9042,7 @@ ram:d900873c c0035fd6 ret
;undefined bl2_main(void)
;local_10 undefined8 -10 ;XREF[1,0]: d9008740
;This BL2 is based on Arm Trusted Firmware-A v0.4.
+ ;XREF[1,0]: d900104c
ram:d9008740 fd7bbfa9 stp x29,x30,[sp, #local_10]!
ram:d9008744 fd030091 mov x29,sp
ram:d9008748 d1f6ff97 bl pinmux_init ;undefined pinmux_init(void)
@@ -10210,46 +9959,30 @@ ram:d900911c c0035fd6 ret
;XREF[1,0]: d9008ec0
ram:d9009120 000040b9 ldr param_1,[param_1]
ram:d9009124 c0035fd6 ret
-ram:d9009128 80 ?? 80h
-ram:d9009129 00 ?? 00h
-ram:d900912a 00 ?? 00h
-ram:d900912b 58 ?? 58h X
-ram:d900912c 1f ?? 1Fh
-ram:d900912d 00 ?? 00h
-ram:d900912e 00 ?? 00h
-ram:d900912f 91 ?? 91h
-ram:d9009130 c0 ?? C0h
-ram:d9009131 03 ?? 03h
-ram:d9009132 5f ?? 5Fh _
-ram:d9009133 d6 ?? D6h
+ ;************************************************************************************************
+ ;* FUNCTION *
+ ;************************************************************************************************
+ ;undefined8 FUN_d9009128(void)
+ ;XREF[1,0]: d900103c
+ram:d9009128 80000058 ldr x0,DAT_d9009138 ;= 00000000D9013800h
+ram:d900912c 1f000091 mov sp,x0
+ram:d9009130 c0035fd6 ret
ram:d9009134 00 ?? 00h
ram:d9009135 00 ?? 00h
ram:d9009136 00 ?? 00h
ram:d9009137 00 ?? 00h
-ram:d9009138 00 ?? 00h
-ram:d9009139 38 ?? 38h 8
-ram:d900913a 01 ?? 01h
-ram:d900913b d9 ?? D9h
-ram:d900913c 00 ?? 00h
-ram:d900913d 00 ?? 00h
-ram:d900913e 00 ?? 00h
-ram:d900913f 00 ?? 00h
-ram:d9009140 00 ?? 00h
-ram:d9009141 3c ?? 3Ch <
-ram:d9009142 40 ?? 40h @
-ram:d9009143 92 ?? 92h
-ram:d9009144 1f ?? 1Fh
-ram:d9009145 00 ?? 00h
-ram:d9009146 00 ?? 00h
-ram:d9009147 f1 ?? F1h
-ram:d9009148 e0 ?? E0h
-ram:d9009149 17 ?? 17h
-ram:d900914a 9f ?? 9Fh
-ram:d900914b 9a ?? 9Ah
-ram:d900914c c0 ?? C0h
-ram:d900914d 03 ?? 03h
-ram:d900914e 5f ?? 5Fh _
-ram:d900914f d6 ?? D6h
+ DAT_d9009138: ;XREF[1,0]: d9009128
+ram:d9009138 003801d90... undefined8 00000000D9013800h
+ ;************************************************************************************************
+ ;* FUNCTION *
+ ;************************************************************************************************
+ ;bool platform_is_primary_cpu(short param_1)
+ ;param_1 short w0
+ ;XREF[1,0]: d9001014
+ram:d9009140 003c4092 and param_1,param_1,#0xffff
+ram:d9009144 1f0000f1 cmp param_1,#0x0
+ram:d9009148 e0179f9a cset param_1,eq
+ram:d900914c c0035fd6 ret
;************************************************************************************************
;* FUNCTION *
;************************************************************************************************
@@ -10318,17 +10051,24 @@ ram:d90091bc c0035fd6 ret
;unk6 ulong x7
;XREF[2,0]: d90080c8,d9008410
ram:d90091c0 030000d4 smc 0x0
-ram:d90091c4 0200018b add unk1,cmd,ep_info
+ ;************************************************************************************************
+ ;* FUNCTION *
+ ;************************************************************************************************
+ ;undefined FUN_d90091c4(undefined8 * param_1, long param_2)
+ ;param_1 undefined8 * x0
+ ;param_2 long x1
+ ;XREF[1,0]: d9001034
+ram:d90091c4 0200018b add x2,param_1,param_2
LAB_d90091c8: ;XREF[1,0]: d90091d8
-ram:d90091c8 430000cb sub unk2,unk1,cmd
-ram:d90091cc 7f4000f1 cmp unk2,#0x10
+ram:d90091c8 430000cb sub x3,x2,param_1
+ram:d90091cc 7f4000f1 cmp x3,#0x10
ram:d90091d0 6b000054 b.lt LAB_d90091dc
-ram:d90091d4 1f7c81a8 stp xzr,xzr,[cmd], #0x10
+ram:d90091d4 1f7c81a8 stp xzr,xzr,[param_1], #0x10
ram:d90091d8 fcffff17 b LAB_d90091c8
LAB_d90091dc: ;XREF[2,0]: d90091d0,d90091e8
-ram:d90091dc 1f0002eb cmp cmd,unk1
+ram:d90091dc 1f0002eb cmp param_1,x2
ram:d90091e0 60000054 b.eq LAB_d90091ec
-ram:d90091e4 1f140038 strb wzr,[cmd], #0x1
+ram:d90091e4 1f140038 strb wzr,[param_1], #0x1
ram:d90091e8 fdffff17 b LAB_d90091dc
LAB_d90091ec: ;XREF[1,0]: d90091e0
ram:d90091ec c0035fd6 ret
@@ -12671,98 +12411,99 @@ ram:d9009ffc 00 ?? 00h
ram:d9009ffd 00 ?? 00h
ram:d9009ffe 00 ?? 00h
ram:d9009fff 00 ?? 00h
- ddrs: ;XREF[110,2...d9001074,d9001178,d90011e4,d900138c
- ; d9001cb0,d9001dd8,d9002380,d900239c
- ; d90025f0,d9002738,d90027d4,d900290c
- ; d90029a4,d9002ad8,d9002ba8,d9002bd8
- ; d9002dfc,d9002e0c,d9002e30,d9002f48
- ; d9002fb0,d900300c,d9003024,d90032a8
- ; d90034fc,d90038b8,d90038bc,d90038c0
- ; d90038dc,d9003a9c,d9003b30,d9003b38
- ; d9003c3c,d9003d38,d9003d6c,d9003dc8
- ; d9003e0c,d9003e14,d9003e2c,d9003e40
- ; d9004408,d9004624,d9004670,d90046e8
- ; d90048e8,d90048fc,d9004964,d9004b04
- ; d9004b08,d9005294,d9005298,d90052a4
- ; d9005374,d90053a4,d90053c0,d900545c
- ; d9005478,d90055f0,d9005b74,d9005b80
- ; d9005b98,d9005bb0,d9005be0,d9005c04
- ; d9005c68,d9005c70,d9005cf0,d9005d70
- ; d9005d7c,d9005d88,d9005d94,d9005da0
- ; d9005eac,d9005ebc,d9005efc,d9005f18
- ; d9005f20,d9005f3c,d9005f40,d9005f64
- ; d9005fdc,d9006134,d90061a0,d9006240
- ; d900656c,d9006658,d90066f8,d9006a5c
- ; d9006d30,d9006e84,d9006ea4,d9006f94
- ; d9007340,d90073b0,d90073b4,d90073b8
- ; d9007470,d90074e8,d9007790,d90077b8
- ; d9007b60,d9007bfc,d9007c4c,d9007d5c
- ; d9007eb8,d9008250,d900878c,d9008904
- ; d9008ec4,d9008ef4,d90023a4,d9002740
- ; d9002758,d9002770,d9002788,d90027a0
- ; d90027b8,d90027c0,d900291c,d90029f0
- ; d9002a00,d9002a04,d9002a2c,d9002a38
- ; d9002a3c,d9002a6c,d9002a74,d9002a7c
- ; d9002a84,d9002a88,d9002a8c,d9002a90
- ; d9002a9c,d9002af0,d9002b30,d9002b3c
- ; d9002b88,d9002b8c,d9002be4,d9002c08
- ; d9002c98,d9002cac,d9002d38,d9002d3c
- ; d9002e10,d9002ebc,d9002eec,d9002f18
- ; d9002f50,d9002f8c,d9002fcc,d90035d8
- ; d90035ec,d90035fc,d900360c,d900361c
- ; d9003630,d9003644,d9003654,d9003664
- ; d9003674,d9003688,d9003a1c,d9003a2c
- ; d9003a3c,d9003a4c,d9003a5c,d9003a6c
- ; d9003a7c,d9003a8c,d9003ab0,d9003ac0
- ; d9003ad0,d9003ae0,d9003af0,d9003b00
- ; d9003b10,d9003b20,d9003b60,d9003b70
- ; d9003b80,d9003b90,d9003c84,d9003c88
- ; d9003c9c,d9003cac,d9003cc8,d9003ccc
- ; d9003cfc,d9003d0c,d9004098,d90040a8
- ; d90040b8,d90040c8,d90040d8,d90040e8
- ; d90040f8,d9004108,d9004118,d9004128
- ; d9004138,d9004148,d9004158,d9004168
- ; d9004178,d9004188,d9004198,d90041a8
- ; d90041bc,d90041cc,d90041dc,d90042f8
- ; d9004308,d9004318,d90043d0,d90043d8
- ; d90043e0,d90043f8,d9004484,d90044c0
- ; d90044dc,d90044f0,d9004504,d900450c
- ; d9004518,d90045e4,d90045ec,d90047ac
- ; d90047c0,d9004808,d9004850,d9004864
- ; d900486c,d90048b8,d90048d0,d9004d50
- ; d9004f04,d9004f20,d9004f34,d9004f48
- ; d9004f74,d9004f88,d9004f94,d9004fa0
- ; d9004fc0,d9004ff4,d900502c,d900502c
- ; d9005044,d9005044,d9005080,d90050a4
- ; d90050d8,d9005110,d9005110,d9005128
- ; d9005128,d90051fc,d90052a8,d90052b8
- ; d90052bc,d90052ec,d9005348,d900534c
- ; d900536c,d9005378,d9005400,d900542c
- ; d9005438,d9005440,d9005448,d9005450
- ; d90055e4,d90055ec,d90055fc,d9005608
- ; d9005610,d9005618,d900562c,d9005634
- ; d900563c,d9005640,d9005650,d9005668
- ; d9005670,d9005680,d90056a4,d90056ac
- ; d90056b0,d90056bc,d90056c0,d90056c8
- ; d90056d8,d90056e0,d9005718,d900571c
- ; d9005724,d9005730,d900573c,d900574c
- ; d90057d0,d90058d0,d90058d4,d90058ec
- ; d9005900,d9005908,d900590c,d900591c
- ; d9005924,d9005938,d9005954,d90059a4
- ; d90059a8,d90059ac,d90059f0,d90059f8
- ; d9005a08,d9005a10,d9005a18,d9005a1c
- ; d9005a30,d9005a38,d9005a40,d9005a44
- ; d9005a50,d9005a60,d9005a6c,d9005a7c
- ; d9005ae4,d9005aec,d9005af0,d9005b2c
- ; d9005b5c,d9005b64,d9005b68,d9005b6c
- ; d9005b90,d9005ce4,d9005cec,d9005d3c
- ; d9005d58,d9005d5c,d9005d60,d9005d68
- ; d9005db0,d9005db8,d9005dcc,d9005df8
- ; d9005e20,d9005e34,d9005e44,d9005e54
- ; d9005e70,d9005e9c,d9005ecc,d9005ed4
- ; d9005ee0,d9005f14,d9005f68,d9005f6c
- ; d9006028,d90060cc,d900613c,d900616c
- ; d9006170,d90061ac,d90061c0,d90061c4
+ ddrs: ;XREF[111,2...d9001074,d9001178,d9001194,d90011e4
+ ; d900138c,d9001cb0,d9001dd8,d9002380
+ ; d900239c,d90025f0,d9002738,d90027d4
+ ; d900290c,d90029a4,d9002ad8,d9002ba8
+ ; d9002bd8,d9002dfc,d9002e0c,d9002e30
+ ; d9002f48,d9002fb0,d900300c,d9003024
+ ; d90032a8,d90034fc,d90038b8,d90038bc
+ ; d90038c0,d90038dc,d9003a9c,d9003b30
+ ; d9003b38,d9003c3c,d9003d38,d9003d6c
+ ; d9003dc8,d9003e0c,d9003e14,d9003e2c
+ ; d9003e40,d9004408,d9004624,d9004670
+ ; d90046e8,d90048e8,d90048fc,d9004964
+ ; d9004b04,d9004b08,d9005294,d9005298
+ ; d90052a4,d9005374,d90053a4,d90053c0
+ ; d900545c,d9005478,d90055f0,d9005b74
+ ; d9005b80,d9005b98,d9005bb0,d9005be0
+ ; d9005c04,d9005c68,d9005c70,d9005cf0
+ ; d9005d70,d9005d7c,d9005d88,d9005d94
+ ; d9005da0,d9005eac,d9005ebc,d9005efc
+ ; d9005f18,d9005f20,d9005f3c,d9005f40
+ ; d9005f64,d9005fdc,d9006134,d90061a0
+ ; d9006240,d900656c,d9006658,d90066f8
+ ; d9006a5c,d9006d30,d9006e84,d9006ea4
+ ; d9006f94,d9007340,d90073b0,d90073b4
+ ; d90073b8,d9007470,d90074e8,d9007790
+ ; d90077b8,d9007b60,d9007bfc,d9007c4c
+ ; d9007d5c,d9007eb8,d9008250,d900878c
+ ; d9008904,d9008ec4,d9008ef4,d90023a4
+ ; d9002740,d9002758,d9002770,d9002788
+ ; d90027a0,d90027b8,d90027c0,d900291c
+ ; d90029f0,d9002a00,d9002a04,d9002a2c
+ ; d9002a38,d9002a3c,d9002a6c,d9002a74
+ ; d9002a7c,d9002a84,d9002a88,d9002a8c
+ ; d9002a90,d9002a9c,d9002af0,d9002b30
+ ; d9002b3c,d9002b88,d9002b8c,d9002be4
+ ; d9002c08,d9002c98,d9002cac,d9002d38
+ ; d9002d3c,d9002e10,d9002ebc,d9002eec
+ ; d9002f18,d9002f50,d9002f8c,d9002fcc
+ ; d90035d8,d90035ec,d90035fc,d900360c
+ ; d900361c,d9003630,d9003644,d9003654
+ ; d9003664,d9003674,d9003688,d9003a1c
+ ; d9003a2c,d9003a3c,d9003a4c,d9003a5c
+ ; d9003a6c,d9003a7c,d9003a8c,d9003ab0
+ ; d9003ac0,d9003ad0,d9003ae0,d9003af0
+ ; d9003b00,d9003b10,d9003b20,d9003b60
+ ; d9003b70,d9003b80,d9003b90,d9003c84
+ ; d9003c88,d9003c9c,d9003cac,d9003cc8
+ ; d9003ccc,d9003cfc,d9003d0c,d9004098
+ ; d90040a8,d90040b8,d90040c8,d90040d8
+ ; d90040e8,d90040f8,d9004108,d9004118
+ ; d9004128,d9004138,d9004148,d9004158
+ ; d9004168,d9004178,d9004188,d9004198
+ ; d90041a8,d90041bc,d90041cc,d90041dc
+ ; d90042f8,d9004308,d9004318,d90043d0
+ ; d90043d8,d90043e0,d90043f8,d9004484
+ ; d90044c0,d90044dc,d90044f0,d9004504
+ ; d900450c,d9004518,d90045e4,d90045ec
+ ; d90047ac,d90047c0,d9004808,d9004850
+ ; d9004864,d900486c,d90048b8,d90048d0
+ ; d9004d50,d9004f04,d9004f20,d9004f34
+ ; d9004f48,d9004f74,d9004f88,d9004f94
+ ; d9004fa0,d9004fc0,d9004ff4,d900502c
+ ; d900502c,d9005044,d9005044,d9005080
+ ; d90050a4,d90050d8,d9005110,d9005110
+ ; d9005128,d9005128,d90051fc,d90052a8
+ ; d90052b8,d90052bc,d90052ec,d9005348
+ ; d900534c,d900536c,d9005378,d9005400
+ ; d900542c,d9005438,d9005440,d9005448
+ ; d9005450,d90055e4,d90055ec,d90055fc
+ ; d9005608,d9005610,d9005618,d900562c
+ ; d9005634,d900563c,d9005640,d9005650
+ ; d9005668,d9005670,d9005680,d90056a4
+ ; d90056ac,d90056b0,d90056bc,d90056c0
+ ; d90056c8,d90056d8,d90056e0,d9005718
+ ; d900571c,d9005724,d9005730,d900573c
+ ; d900574c,d90057d0,d90058d0,d90058d4
+ ; d90058ec,d9005900,d9005908,d900590c
+ ; d900591c,d9005924,d9005938,d9005954
+ ; d90059a4,d90059a8,d90059ac,d90059f0
+ ; d90059f8,d9005a08,d9005a10,d9005a18
+ ; d9005a1c,d9005a30,d9005a38,d9005a40
+ ; d9005a44,d9005a50,d9005a60,d9005a6c
+ ; d9005a7c,d9005ae4,d9005aec,d9005af0
+ ; d9005b2c,d9005b5c,d9005b64,d9005b68
+ ; d9005b6c,d9005b90,d9005ce4,d9005cec
+ ; d9005d3c,d9005d58,d9005d5c,d9005d60
+ ; d9005d68,d9005db0,d9005db8,d9005dcc
+ ; d9005df8,d9005e20,d9005e34,d9005e44
+ ; d9005e54,d9005e70,d9005e9c,d9005ecc
+ ; d9005ed4,d9005ee0,d9005f14,d9005f68
+ ; d9005f6c,d9006028,d90060cc,d900613c
+ ; d900616c,d9006170,d90061ac,d90061c0
+ ; d90061c4
ram:d900a000 0f0f01000... ddr_set_t
|_ram:d900a000 ddr_chann... uchar 0Fh
|_ram:d900a001 ddr_type uchar 0Fh
@@ -13809,54 +13550,18 @@ ram:d900a57c 00 ?? 00h
ram:d900a57d 00 ?? 00h
ram:d900a57e 00 ?? 00h
ram:d900a57f 00 ?? 00h
-ram:d900a580 00 ?? 00h
-ram:d900a581 00 ?? 00h
-ram:d900a582 00 ?? 00h
-ram:d900a583 00 ?? 00h
-ram:d900a584 00 ?? 00h
-ram:d900a585 00 ?? 00h
-ram:d900a586 00 ?? 00h
-ram:d900a587 00 ?? 00h
-ram:d900a588 00 ?? 00h
-ram:d900a589 00 ?? 00h
-ram:d900a58a 00 ?? 00h
-ram:d900a58b 00 ?? 00h
-ram:d900a58c 00 ?? 00h
-ram:d900a58d 00 ?? 00h
-ram:d900a58e 00 ?? 00h
-ram:d900a58f 00 ?? 00h
-ram:d900a590 00 ?? 00h
-ram:d900a591 00 ?? 00h
-ram:d900a592 00 ?? 00h
-ram:d900a593 00 ?? 00h
-ram:d900a594 00 ?? 00h
-ram:d900a595 00 ?? 00h
-ram:d900a596 00 ?? 00h
-ram:d900a597 00 ?? 00h
-ram:d900a598 00 ?? 00h
-ram:d900a599 00 ?? 00h
-ram:d900a59a 00 ?? 00h
-ram:d900a59b 00 ?? 00h
-ram:d900a59c 00 ?? 00h
-ram:d900a59d 00 ?? 00h
-ram:d900a59e 00 ?? 00h
-ram:d900a59f 00 ?? 00h
-ram:d900a5a0 00 ?? 00h
-ram:d900a5a1 00 ?? 00h
-ram:d900a5a2 00 ?? 00h
-ram:d900a5a3 00 ?? 00h
-ram:d900a5a4 00 ?? 00h
-ram:d900a5a5 00 ?? 00h
-ram:d900a5a6 00 ?? 00h
-ram:d900a5a7 00 ?? 00h
-ram:d900a5a8 00 ?? 00h
-ram:d900a5a9 00 ?? 00h
-ram:d900a5aa 00 ?? 00h
-ram:d900a5ab 00 ?? 00h
-ram:d900a5ac 00 ?? 00h
-ram:d900a5ad 00 ?? 00h
-ram:d900a5ae 00 ?? 00h
-ram:d900a5af 00 ?? 00h
+ DAT_d900a580: ;XREF[2,0]: d9001198,d90011a0
+ram:d900a580 000000000... undefined8 0000000000000000h
+ DAT_d900a588: ;XREF[1,0]: d90011ac
+ram:d900a588 000000000... undefined8 0000000000000000h
+ DAT_d900a590: ;XREF[1,0]: d90011b8
+ram:d900a590 000000000... undefined8 0000000000000000h
+ DAT_d900a598: ;XREF[1,0]: d90011c0
+ram:d900a598 000000000... undefined8 0000000000000000h
+ DAT_d900a5a0: ;XREF[1,0]: d90011d4
+ram:d900a5a0 000000000... undefined8 0000000000000000h
+ DAT_d900a5a8: ;XREF[1,0]: d90011d8
+ram:d900a5a8 000000000... undefined8 0000000000000000h
ram:d900a5b0 00 ?? 00h
ram:d900a5b1 00 ?? 00h
ram:d900a5b2 00 ?? 00h
@@ -13873,7 +13578,8 @@ ram:d900a5bc 00 ?? 00h
ram:d900a5bd 00 ?? 00h
ram:d900a5be 00 ?? 00h
ram:d900a5bf 00 ?? 00h
- DAT_d900a5c0: ;XREF[4,0]: d900107c,d90010bc,d9001150,d90011e8
+ DAT_d900a5c0: ;XREF[6,0]: d900102c,d9001058,d900107c,d90010bc
+ ; d9001150,d90011e8
ram:d900a5c0 00 undefined1 00h
DAT_d900a5c1: ;XREF[1,0]: d90010dc
ram:d900a5c1 00 undefined1 00h
@@ -19945,7 +19651,7 @@ ram:d900bffc 00 ?? 00h
ram:d900bffd 00 ?? 00h
ram:d900bffe 00 ?? 00h
ram:d900bfff 00 ?? 00h
- DAT_d900c000: ;XREF[2,0]: d90087fc,d9008828
+ DAT_d900c000: ;XREF[3,0]: d90011b8,d90087fc,d9008828
ram:d900c000 00000000 undefined4 00000000h
DAT_d900c004: ;XREF[1,0]: d9008808
ram:d900c004 00000000 undefined4 00000000h